一区二区三区三上|欧美在线视频五区|国产午夜无码在线观看视频|亚洲国产裸体网站|无码成年人影视|亚洲AV亚洲AV|成人开心激情五月|欧美性爱内射视频|超碰人人干人人上|一区二区无码三区亚洲人区久久精品

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UART整體的仿真方法和testbench結(jié)構(gòu)講解

冬至子 ? 來(lái)源:兩猿社 ? 作者:IC猿 ? 2023-06-05 16:08 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

仿真框架

仿真部分結(jié)構(gòu)和設(shè)計(jì)類(lèi)似,同樣有波特率、接收數(shù)據(jù)和發(fā)送數(shù)據(jù)模型。仿真的實(shí)現(xiàn)比較靈活,不用考慮可綜合性。主要實(shí)現(xiàn)master功能,配置部分對(duì)DUT配置,發(fā)送模型發(fā)送數(shù)據(jù)到DUT,接收模型接收到數(shù)據(jù)后與發(fā)送數(shù)據(jù)進(jìn)行對(duì)比,驗(yàn)證基本功能的正確性。

圖片

仿真框架

傷真頂層產(chǎn)生時(shí)鐘、復(fù)位,信號(hào)的初始化,測(cè)試用例的選擇以及全局變量或參數(shù)的定義(如寄存器地址和某些多模塊需要用到的變量)。

////////////////////////////////////////
`timescale 1ns/1ps
//`define tc01_00
//`define tc02_00
`define tc03_00

module    top();


reg            clk;                 // ARM clk
reg            clk26m;              // 26M function clk
reg            rst_;                // ARM clk's rst_
reg            rst26m_;             // function clk's rst_
reg            tx_data;             // send data line
wire           rx_data;             // receive data line
wire           uart_int;            // uart interrupt


// APB signals
reg  [3:0]     paddr;
reg  [31:0]    pwdata;
reg            psel;
reg            penable;
reg            pwrite;
wire [31:0]    prdata;

reg            baud_tclk;           // send data baud clk
reg            baud_rclk;           // receive data baud clk
reg            start;               // receive data baud enable signal
reg            rx_done;             // receive one data done
reg            w_state;             // write reg using signal
reg            r_state;             // read reg using signal
reg  [7:0]     tx_data_mem[0:999];  // send data memory
reg  [7:0]     rx_data_mem[0:999];  // receive data memory

reg  [31:0]    uart_tx;
reg  [31:0]    uart_rx;
reg  [31:0]    uart_baud;
reg  [31:0]    uart_conf;
reg  [31:0]    uart_rxtrig;
reg  [31:0]    uart_txtrig;
reg  [31:0]    uart_delay;
reg  [31:0]    uart_status;
reg  [31:0]    uart_rxfifo_stat;
reg  [31:0]    uart_txfifo_stat;

// when tx_model is runing a second time ,we don't want tx_cnt clean,
// so defind tx_cnt in top
integer        tx_cnt;

parameter      clk_period            = 10;
parameter      clk26m_period         = 38;
parameter      uart_tx_addr          = 4'h0;
parameter      uart_rx_addr          = 4'h1;
parameter      uart_baud_addr        = 4'h2;
parameter      uart_conf_addr        = 4'h3;
parameter      uart_rxtrig_addr      = 4'h4;
parameter      uart_txtrig_addr      = 4'h5;
parameter      uart_delay_addr       = 4'h6;
parameter      uart_status_addr      = 4'h7;
parameter      uart_rxfifo_stat_addr = 4'h8;
parameter      uart_txfifo_stat_addr = 4'h9;

`include "UART_baud.v"
`include "reg_op.v"
`include "check_int.v"
`include "uart_tx_model.v"
`include "uart_rx_model.v"
`include "tc01_00.v"
`include "tc02_00.v"
`include "tc03_00.v"

// cases of uart
UART_TOP    DUT(
        .clk(clk),
        .clk26m(clk26m),
        .rst_(rst_),
        .rst26m_(rst26m_),
        .paddr_i(paddr),
        .pwdata_i(pwdata),
        .psel_i(psel),
        .penable_i(penable),
        .pwrite_i(pwrite),
        .urxd_i(tx_data),
        .utxd_o(rx_data),
        .uart_int_o(uart_int),
        .prdata_o(prdata)
);

// always produce clk
always#(clk_period/2)    clk = ~clk;
always#(clk26m_period/2) clk26m = ~clk26m;


// signals initialize
initialbegin
    clk         = 1'b0;
    clk26m      = 1'b0;
    rst_        = 1'b1;
    rst26m_     = 1'b1;
    baud_tclk   = 1'b0;
    baud_rclk   = 1'b0;
    tx_data     = 1'b1;
    start       = 1'b0;
    rx_done     = 1'b0;
    w_state     = 1'b0;
    r_state     = 1'b0;
    uart_tx     = 32'h0;
    uart_baud   = 32'hf152;
    uart_conf   = 32'h34;
    uart_rxtrig = 32'h1;
    uart_txtrig = 32'h0;
    uart_delay  = 32'h2;
    uart_status = 32'h0;
    tx_cnt      = 0;
    #50;
    rst_      = 1'b0;
    rst26m_   = 1'b0;
    #100;
    rst_      = 1'b1;
    rst26m_   = 1'b1;

end


initialbegin
    @(posedge rst_) beginend
    fork
        UART_baud();
        check_int();
        uart_rx_model();
    join
end


initialbegin
    @(posedge rst_) beginend
    `ifdef tc01_00  tc01_00(10); `endif
    `ifdef tc02_00  tc02_00(); `endif
    `ifdef tc03_00  tc03_00(); `endif
end

endmodule

注意:每一個(gè)寄存器復(fù)位時(shí)都應(yīng)該具有復(fù)位值,每一個(gè)輸入在仿真時(shí)都應(yīng)該具有初始值,確保功能的正確性以及仿真能順利進(jìn)行。

對(duì)于初學(xué)者或者常使用gui仿真的同學(xué)需要了解,在include task或者function等模型時(shí),可以直接使用

`include "userfile.v"
或者
`include "D:/userdir/userfile.v"

兩者不同之處在于前者需要指定一個(gè)include directory,這個(gè)目錄包含需要inclue的文件;而后者使用絕對(duì)路徑更加直接,但是在你的仿真環(huán)境需要移植或者include的文件比較多且分散時(shí),使用第一種方式更為方便。

仿真頂層主要是將各個(gè)模型與DUT串接,形成串口的配置、收發(fā)數(shù)據(jù)通路。另外通過(guò)控制不同的仿真用例測(cè)試不同配置下的功能正確性。

testcase說(shuō)明

所有的測(cè)試用例使用偽隨機(jī)的方式進(jìn)行,即數(shù)據(jù)和配置信息使用系統(tǒng)隨機(jī)函數(shù)產(chǎn)生。

tc01_00: 對(duì)DUT接收部分的功能驗(yàn)證。隨機(jī)配置波特率和串口功能設(shè)置,收發(fā)FIFO觸發(fā)值為32'ha。控制發(fā)送數(shù)據(jù)模型發(fā)送數(shù)據(jù)到DUT,發(fā)送次數(shù)通過(guò)task input可控(10的倍數(shù))。設(shè)計(jì)中達(dá)到RX FIFO觸發(fā)值時(shí),會(huì)觸發(fā)中斷,check int模塊會(huì)一直工作檢查處理中斷,進(jìn)行數(shù)據(jù)對(duì)比。

task  tc01_00;
inputinteger    run_num;

reg  [9:0]         baud;
reg  [2:0]         conf;
reg		[15:0]			 rdata;
integer            i;
integer            run_time;
integer            seed;

run_time = 0;
seed     = 0;
// memory initialize
for(i=0;i< 1000;i++) begin
    top.tx_data_mem[i] = {$random} % 255;    //$dist_uniform(seed,5,255);
end
repeat(run_num) begin
    baud = $dist_uniform(seed,13,676);
    conf = {$random} % 7;   //$dist_uniform(seed,0,7);
    @(posedge top.clk) beginend
    write_reg(top.uart_baud_addr,{20'hf,2'b0,baud});
    @(posedge top.clk) beginend
    write_reg(top.uart_txtrig_addr,32'ha);
    @(posedge top.clk) beginend
    write_reg(top.uart_rxtrig_addr,32'ha);
    @(posedge top.clk) beginend
    write_reg(top.uart_conf_addr,{26'h0,3'b111,conf});

      uart_tx_model(10);

    $display("------run -------%d ",run_time);
    run_time++;
    seed++;
end
$stop;
endtask

tc02_00: 對(duì)DUT發(fā)送部分的功能驗(yàn)證。隨機(jī)配置波特率、功能、delay和收發(fā)FIFO觸發(fā)值。寄存器配完后,配置串口發(fā)送寄存器,使DUT發(fā)送數(shù)據(jù)(重復(fù)1000次),將接收模型收到的數(shù)據(jù)與發(fā)送的數(shù)據(jù)對(duì)比,驗(yàn)證功能正確性。

task  tc02_00;

reg  [9:0]         baud;
reg  [2:0]         conf;
reg  [3:0]         delay;
reg  [3:0]         rxtrig;
reg  [3:0]         txtrig;

integer            i;
integer            j;
integer            seed;

seed     = 0;
j        = 0;
// memory initialize
for(i=0;i< 1000;i++) begin
    top.tx_data_mem[i] = $dist_uniform(seed,5,255);
end

begin
    baud  = $dist_uniform(seed,13,676);
    conf  = $dist_uniform(seed,0,7);
    delay = $dist_uniform(seed,0,15);
    rxtrig = $dist_uniform(seed,4,14);
    txtrig = $dist_uniform(seed,4,14);
    write_reg(top.uart_baud_addr,{20'hf,2'b0,baud});
    @(posedge top.clk) beginend
    write_reg(top.uart_txtrig_addr,{28'h0,txtrig});
    @(posedge top.clk) beginend
    write_reg(top.uart_rxtrig_addr,{28'h0,rxtrig});
    @(posedge top.clk) beginend
    write_reg(top.uart_conf_addr,{26'h0,3'b111,conf});
    @(posedge top.clk) beginend
    write_reg(top.uart_delay_addr,{28'h0,delay});
    repeat(16) begin
        @(posedge top.clk) beginend
        write_reg(top.uart_tx_addr,top.tx_data_mem[j]);
        j++;
        if(j > 999) begin
            j = 0;
        end
        $display("write %d data",j);
    end
    seed++;
end
endtask

tc03_00: DUT收發(fā)測(cè)試。隨機(jī)配置波特率、功能、delay和收發(fā)FIFO觸發(fā)值。寄存器完后,配置串口發(fā)送寄存器,使DUT發(fā)送數(shù)據(jù)(重復(fù)1000次);再使用發(fā)送數(shù)據(jù)模型發(fā)送數(shù)據(jù)1000次。

task  tc03_00;

reg  [9:0]         baud;
reg  [2:0]         conf;
reg  [3:0]         delay;
reg  [3:0]         rxtrig;
reg  [3:0]         txtrig;

integer            i;
integer            j;
integer            seed;


seed     = 0;
j        = 0;
// memory initialize
for(i=0;i< 1000;i++) begin
    top.tx_data_mem[i] = $dist_uniform(seed,1,255);
end

begin
    baud  = $dist_uniform(seed,13,676);
    conf  = $dist_uniform(seed,0,7);
    delay = $dist_uniform(seed,0,15);
    rxtrig = $dist_uniform(seed,4,14);
    txtrig = $dist_uniform(seed,4,14);
    write_reg(top.uart_baud_addr,{20'hf,2'b0,baud});
    @(posedge top.clk) beginend
    write_reg(top.uart_txtrig_addr,{28'h0,txtrig});
    @(posedge top.clk) beginend
    write_reg(top.uart_rxtrig_addr,{28'h0,rxtrig});
    @(posedge top.clk) beginend
    write_reg(top.uart_conf_addr,{26'h0,3'b111,conf});
    @(posedge top.clk) beginend
    write_reg(top.uart_delay_addr,{28'h0,delay});
    fork
    repeat(16) begin
        @(posedge top.clk) beginend
        write_reg(top.uart_tx_addr,top.tx_data_mem[j]);
        j++;
        if(j > 999) begin
            j = 0;
        end
        $display("write %d data",j);
    end
    uart_tx_model(1000);
    join
    seed++;
end
endtask

本設(shè)計(jì)只使用了三個(gè)測(cè)試用例,對(duì)模塊的仿真驗(yàn)證并不完備,讀者可以根據(jù)自己的使用情況增加不同功能的用例。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5433

    瀏覽量

    124424
  • 接收機(jī)
    +關(guān)注

    關(guān)注

    9

    文章

    1224

    瀏覽量

    54552
  • FIFO存儲(chǔ)
    +關(guān)注

    關(guān)注

    0

    文章

    103

    瀏覽量

    6188
  • UART接口
    +關(guān)注

    關(guān)注

    0

    文章

    124

    瀏覽量

    15869
  • DUT
    DUT
    +關(guān)注

    關(guān)注

    0

    文章

    191

    瀏覽量

    12951
收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評(píng)論

    相關(guān)推薦
    熱點(diǎn)推薦

    誰(shuí)有基于matlab仿真的TSC型動(dòng)態(tài)無(wú)功補(bǔ)償裝置仿真整體結(jié)構(gòu)

    基于DSP的TSC型動(dòng)態(tài)無(wú)功補(bǔ)償裝置matlab仿真整體結(jié)構(gòu)圖啊,謝謝
    發(fā)表于 04-09 15:52

    用quartusii 9.1 生成的vht文件,testbench等問(wèn)題

    1.quartusii 9.1 生成的testbench 后用VHDL 編寫(xiě)后續(xù)程序的格式, 方法2如何用modelsim 關(guān)聯(lián)quartusii仿真3是不是testbench 沒(méi)有問(wèn)
    發(fā)表于 05-17 21:36

    testbench 的問(wèn)題

    給位大神,想問(wèn)一下testbench中是否只是寫(xiě)clk,reset等的變化?不是的話(huà),里面究竟怎么寫(xiě)才能等到自己的仿真?能否附一例子講解?謝謝
    發(fā)表于 04-11 16:03

    如何使用quartus ii 和modelsim -ae 快速進(jìn)行Testbench功能仿真

    ,進(jìn)行相關(guān)設(shè)置,如如圖三.輸入RTL級(jí)代碼和Testbench文件RTL級(jí)代碼和Testbench的相關(guān)語(yǔ)法,請(qǐng)參考其他書(shū)籍,這里不予講述,主要講解如何快速進(jìn)行功能級(jí)仿真。3.1建立一
    發(fā)表于 11-29 21:35

    testbench設(shè)置的問(wèn)題

    本帖最后由 平漂流 于 2017-5-21 11:09 編輯 如圖,看Verilog仿真視頻教程里面,在testbench設(shè)置時(shí)候,直接復(fù)制“blocking_vlg_tst”到top
    發(fā)表于 05-21 11:04

    關(guān)于VHDL的testbench仿真問(wèn)題

    寫(xiě)了很多VHDL文件和testbench文件,在仿真時(shí)信號(hào)的值總是U,請(qǐng)問(wèn)有誰(shuí)遇到過(guò)這種問(wèn)題么,怎樣解決,謝謝各位大牛!
    發(fā)表于 09-29 17:20

    怎樣用VHDL寫(xiě)TESTBENCH

    Testbench 不僅要產(chǎn)生激勵(lì)也就是輸入,還要驗(yàn)證響應(yīng)也就是輸出。當(dāng)然也可以只產(chǎn)生激勵(lì),然后通過(guò)波形窗口通過(guò)人工的方法去驗(yàn)證波形,這種方法只能適用于小規(guī)模的設(shè)計(jì)。在 ISE 環(huán)境中,當(dāng)前資源操作
    發(fā)表于 11-28 11:19

    testbench編寫(xiě)基本結(jié)構(gòu)

    testbench編寫(xiě)基本結(jié)構(gòu)
    發(fā)表于 09-28 17:43

    使用三種自動(dòng)化testbench驗(yàn)證方法

    自我檢查testbench設(shè)計(jì):與前兩種方法不同,該方法實(shí)時(shí)檢查預(yù)期結(jié)果和實(shí)際結(jié)果,而不是仿真結(jié)束后才檢查。在testbench中插入錯(cuò)誤追
    的頭像 發(fā)表于 11-20 11:26 ?4107次閱讀

    在模塊化設(shè)計(jì)過(guò)程中編寫(xiě)testbench仿真方法

    在開(kāi)始設(shè)計(jì)前,根據(jù)設(shè)計(jì)劃分好各功能模塊(為了敘述方便,這里以對(duì)“FPGA數(shù)字信號(hào)處理(十三)鎖相環(huán)位同步技術(shù)的實(shí)現(xiàn)”中設(shè)計(jì)的系統(tǒng)仿真為例)。編寫(xiě)好第一個(gè)子模塊(本例中為雙相時(shí)鐘生成模塊),在Vivado中添加仿真sim文件,編寫(xiě)test
    的頭像 發(fā)表于 11-20 11:29 ?4564次閱讀

    VHDL與Verilog硬件描述語(yǔ)言如何用TestBench來(lái)進(jìn)行仿真

    TestBench來(lái)進(jìn)行仿真是一個(gè)很不錯(cuò)的選擇。 VHDL與Verilog語(yǔ)言的語(yǔ)法規(guī)則不同,它們的TestBench的具體寫(xiě)法也不同,但是應(yīng)包含的基本結(jié)構(gòu)大體相似,在VHDL的
    的頭像 發(fā)表于 08-04 14:16 ?4233次閱讀

    testbench是什么? testbench測(cè)試的機(jī)制是什么?

    廢話(huà)不多說(shuō)直接上干貨,testbench就是對(duì)寫(xiě)的FPGA文件進(jìn)行測(cè)試的文件,可以是verilog也可以是VHDL。
    的頭像 發(fā)表于 06-28 16:44 ?5912次閱讀
    <b class='flag-5'>testbench</b>是什么? <b class='flag-5'>testbench</b>測(cè)試的機(jī)制是什么?

    Testbench的基本組成和設(shè)計(jì)規(guī)則

    ??對(duì)于小型設(shè)計(jì)來(lái)說(shuō),最好的測(cè)試方式便是使用TestBench和HDL仿真器來(lái)驗(yàn)證其正確性。一般TestBench需要包含這些部分:實(shí)例化待測(cè)試設(shè)計(jì)、使用測(cè)試向量激勵(lì)設(shè)計(jì)、將結(jié)果輸出到終端或波形窗口便于可視化觀察、比較實(shí)際結(jié)果和
    的頭像 發(fā)表于 09-01 09:57 ?1787次閱讀
    <b class='flag-5'>Testbench</b>的基本組成和設(shè)計(jì)規(guī)則

    Testbench自動(dòng)化驗(yàn)證方法介紹

    自動(dòng)化驗(yàn)證testbench結(jié)果可以減少人工檢查的時(shí)間和可能犯的失誤,尤其對(duì)于比較大的設(shè)計(jì)。
    的頭像 發(fā)表于 09-04 09:15 ?1349次閱讀

    FPGA入門(mén)必備:Testbench仿真文件編寫(xiě)實(shí)例詳解

    在編寫(xiě)完HDL代碼后,往往需要通過(guò)仿真軟件Modelsim或者Vivadao自帶的仿真功能對(duì)HDL代碼功能進(jìn)行驗(yàn)證,此時(shí)我們需要編寫(xiě)Testbench文件對(duì)HDL功能進(jìn)行測(cè)試驗(yàn)證。
    發(fā)表于 04-29 10:43 ?3028次閱讀