一区二区三区三上|欧美在线视频五区|国产午夜无码在线观看视频|亚洲国产裸体网站|无码成年人影视|亚洲AV亚洲AV|成人开心激情五月|欧美性爱内射视频|超碰人人干人人上|一区二区无码三区亚洲人区久久精品

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

xilinx仿真實(shí)驗(yàn):IP核之RAM的配置

454398 ? 來源:CSDN博主 ? 作者:ciscomonkey ? 2020-11-21 09:57 ? 次閱讀

背景

RAMROM也是類似的,由于這也是常用的IP核,所有完全有必要在這里記錄一下,以后用到了實(shí)際后,再補(bǔ)充到實(shí)際工程中。隨機(jī)存儲器(RAM),它可以隨時從任一指定地址讀出數(shù)據(jù),也可以隨時把數(shù)據(jù)寫入任何指定的存儲單元,且讀寫的速度與存儲單元在存儲芯片的位置無關(guān)。RAM主要用來存放程序及程序執(zhí)行過程中產(chǎn)生的中間數(shù)據(jù)、運(yùn)算結(jié)果等。RAM按照存儲單元的工作原理可以分為靜態(tài)RAM和動態(tài)RAM,也就是常說的SRAM和DRAM。

SRAM速度非常快,是目前讀寫最快的存儲設(shè)備,比如CPU的一級緩沖,二級緩沖。而計(jì)算機(jī)內(nèi)存就是DRAM,比SRAM便宜。這里要說明的是,DRAM與SRAM相比較速度慢,但是它與ROM相比較速度還是很快的。

RAM IP核同ROM IP核一樣,xilinx也提供了兩種:一種是單端口的RAM IP,另一種是雙端口的RAM IP。

IP核之RAM的配置







在這個頁面中,有3個可供修改的標(biāo)簽“option output resisters”(可選輸出寄存器)的“portA”(端口A)、“memory Initialization”(存儲器初始化)和“File Remaining Memory Locations”(填補(bǔ)剩余的內(nèi)存位置)。

在“port A”(端口A)欄有兩個可修改項(xiàng):
“register port A output of memory primitives”:表示源于的輸出端口A處增加寄存器級。
“Register port A output of memory core”:表示在IP核的輸出端口A處增加寄存器級。
在“memory Initialization”(存儲器初始化)欄中,我們可以載入coe文件對ROM IP核進(jìn)行初始化。在“Fiie Remaining Memory Locations”(填補(bǔ)剩余的內(nèi)存位置)欄中,我們可以將剩余內(nèi)存填充上我們指定的數(shù)值。


在這個頁面中,有2個可供修改的標(biāo)簽,“power estimate options”(功耗估計(jì)選項(xiàng))的“output reset options”(輸出復(fù)位選項(xiàng))的“port A”(端口A)可以選擇是否添加一個“RSTA”引腳?!皁utput reset valure(Hex)”設(shè)置復(fù)位輸出值(十六進(jìn)制)。


創(chuàng)建好了RAM 后,進(jìn)行例化。

代碼:

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    09:44:24 05/23/2019 
// Design Name: 
// Module Name:    RAM_top 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//下述功能描述了寫入一個地址為0~511的地址,從512~1023 不寫入數(shù)據(jù)
//////////////////////////////////////////////////////////////////////////////////
module RAM_top(
input clk,
input rst,
output reg ram_en,   //RAM IP核的使能信號
output reg wren,		//RAM IP核的寫使能信號
                		//RAM IP核的地址信號
output reg [7 : 0] wrdata,		//RAM IP核的寫數(shù)據(jù)信號
output  [7 : 0] rddata		//RAM IP核的讀數(shù)據(jù)信號
		//用來產(chǎn)生地址和數(shù)據(jù)的計(jì)數(shù)器
);
reg [8 : 0] addr=0;
reg [7 : 0] time_cnt=0;

always @ (posedge clk or negedge rst)
begin
	if(!rst)
	  time_cnt<=0;
	else if(time_cnt=='d1023)
	  time_cnt<=1'd0;
	else 
	  time_cnt<=time_cnt+1;
end


//用來產(chǎn)生RAM IP核的寫使能信號
always @ (posedge clk or negedge rst)
begin
	if(!rst)
	wren<=0;
	else if (time_cnt

下面來說一下該代碼主要實(shí)現(xiàn)了什么功能,該代碼主要實(shí)現(xiàn)了先往RAM IP核中的0 ~ 511地址寫入0 ~511 數(shù)據(jù),每個地址對應(yīng)一個數(shù)據(jù),比如0地址對應(yīng)0數(shù)據(jù),1地址對應(yīng)1數(shù)據(jù),以此類推。寫完了512個數(shù)據(jù)之后,讀數(shù)據(jù)時,我們需要將寫使能拉低,而ram_en信號在操作RAM的過程中需要一直拉高。(雖然在這里,我們沒有設(shè)置ranm_en)。再寫完512個數(shù)據(jù)后,然后進(jìn)行讀數(shù)據(jù),此時,輸入一個地址,讀取一個對應(yīng)地址的數(shù)據(jù),此時將wren保持為低,這樣就沒法寫入數(shù)據(jù),只能讀取數(shù)據(jù)了。給一個地址,讀取一個對應(yīng)地址的數(shù)據(jù)。

仿真

采用modelsim SE 10.4 進(jìn)行仿真,一看上去波形正確。

我們放大來看看,也可以看到,在地址為0,讀取數(shù)據(jù)為0,然后地址為1,讀取數(shù)據(jù)為1.以此類推。

note:仿真失敗的,要注意添加ISE的庫到modelsim中,或者編譯庫文件,再調(diào)用modelsim。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • DRAM
    +關(guān)注

    關(guān)注

    40

    文章

    2340

    瀏覽量

    184980
  • sram
    +關(guān)注

    關(guān)注

    6

    文章

    780

    瀏覽量

    115562
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1386

    瀏覽量

    116477
  • Xilinx
    +關(guān)注

    關(guān)注

    73

    文章

    2179

    瀏覽量

    123963
  • 波形
    +關(guān)注

    關(guān)注

    3

    文章

    386

    瀏覽量

    32027
收藏 人收藏

    評論

    相關(guān)推薦
    熱點(diǎn)推薦

    做ros0小車仿真實(shí)驗(yàn)室stp 文件免費(fèi)獲取方法

    做ros小車仿真實(shí)驗(yàn)室時,用Solidworks到處urdf文件,但沒有四驅(qū)輪式機(jī)器人三維模型(stp 文件),要去哪里找免費(fèi)的。(小車型號為ABOT-M1)
    發(fā)表于 04-09 21:57

    Vivado FIR IP核實(shí)現(xiàn)

    Xilinx的FIR IP屬于收費(fèi)IP,但是不需要像 Quartus那樣通過修改license文件來破解。如果是個人學(xué)習(xí),現(xiàn)在網(wǎng)絡(luò)上流傳的license破解文件在破解Vivado的同
    的頭像 發(fā)表于 03-01 14:44 ?1284次閱讀
    Vivado FIR <b class='flag-5'>IP</b>核實(shí)現(xiàn)

    RAM-Based Shift Register Xilinx IP的使用

    一般來講,如果要實(shí)現(xiàn)移位寄存器的話,通常都是寫RTL用reg來構(gòu)造,比如1bit變量移位一個時鐘周期就用1個reg,也就是一個寄存器FF資源,而移位16個時鐘周期就需要16個FF,這種方法無疑非常浪費(fèi)資源。
    的頭像 發(fā)表于 01-21 15:42 ?2265次閱讀
    <b class='flag-5'>RAM</b>-Based Shift Register <b class='flag-5'>Xilinx</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>的使用

    數(shù)?;旌想娐?b class='flag-5'>仿真實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《數(shù)模混合電路仿真實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 01-21 15:32 ?0次下載
    數(shù)?;旌想娐?b class='flag-5'>仿真實(shí)</b>現(xiàn)

    模擬電路仿真實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《模擬電路仿真實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 01-21 15:21 ?5次下載
    模擬電路<b class='flag-5'>仿真實(shí)</b>現(xiàn)

    模擬行為仿真實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《模擬行為仿真實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 01-21 09:24 ?0次下載
    模擬行為<b class='flag-5'>仿真實(shí)</b>現(xiàn)

    數(shù)字電路仿真實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《數(shù)字電路仿真實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 01-21 09:24 ?2次下載
    數(shù)字電路<b class='flag-5'>仿真實(shí)</b>現(xiàn)

    ALINX發(fā)布100G以太網(wǎng)UDP/IP協(xié)議棧IP

    ALINX近日宣布,基于AMD 100G以太網(wǎng)MAC IP,成功開發(fā)出全新的100G以太網(wǎng)UDP/IP協(xié)議棧IP。該IP
    的頭像 發(fā)表于 01-07 11:25 ?561次閱讀

    Vivado中FFT IP的使用教程

    本文介紹了Vidado中FFT IP的使用,具體內(nèi)容為:調(diào)用IP>>配置界面介紹>>IP
    的頭像 發(fā)表于 11-06 09:51 ?3011次閱讀
    Vivado中FFT <b class='flag-5'>IP</b><b class='flag-5'>核</b>的使用教程

    芯驛電子 ALINX 推出全新 IP 產(chǎn)品線,覆蓋 TCP/UDP/NVMe AXI IP

    在創(chuàng)新加速的浪潮中,為更好地響應(yīng)客戶群需求, 芯驛電子 ALINX 推出全新 IP 產(chǎn)品線 ,致力于為高性能數(shù)據(jù)傳輸和復(fù)雜計(jì)算需求提供 高帶寬、低延遲 的解決方案。發(fā)布的第一批 IP
    的頭像 發(fā)表于 10-30 17:39 ?667次閱讀
     芯驛電子 ALINX 推出全新 <b class='flag-5'>IP</b> <b class='flag-5'>核</b>產(chǎn)品線,覆蓋 TCP/UDP/NVMe AXI <b class='flag-5'>IP</b> <b class='flag-5'>核</b>

    芯驛電子ALINX推出全新IP產(chǎn)品線

    在創(chuàng)新加速的浪潮中,為更好地響應(yīng)客戶群需求,芯驛電子 ALINX 推出全新 IP 產(chǎn)品線,致力于為高性能數(shù)據(jù)傳輸和復(fù)雜計(jì)算需求提供高帶寬、低延遲的解決方案。發(fā)布的第一批 IP 包括
    的頭像 發(fā)表于 10-30 11:53 ?538次閱讀
    芯驛電子ALINX推出全新<b class='flag-5'>IP</b><b class='flag-5'>核</b>產(chǎn)品線

    Xilinx DDS IP的使用和參數(shù)配置

    RAM實(shí)現(xiàn)一個DDS,從原理上來說很簡單,在實(shí)際使用的時候,可能沒有直接使用官方提供的IP來的方便。這個博客就記錄一下,最近使用到的這個DDS IP。
    的頭像 發(fā)表于 10-25 16:54 ?2783次閱讀
    <b class='flag-5'>Xilinx</b> DDS <b class='flag-5'>IP</b><b class='flag-5'>核</b>的使用和參數(shù)<b class='flag-5'>配置</b>

    如何申請xilinx IP的license

    在使用FPGA的時候,有些IP是需要申請后才能使用的,本文介紹如何申請xilinx IP的license。
    的頭像 發(fā)表于 10-25 16:48 ?1029次閱讀
    如何申請<b class='flag-5'>xilinx</b> <b class='flag-5'>IP</b><b class='flag-5'>核</b>的license

    如何在ModelSim中添加Xilinx仿真

    。 9、再次打開ModelSim,即可以看到Xilinx的庫已經(jīng)默認(rèn)出現(xiàn)在了庫列表里。以后仿真XilinxIP
    發(fā)表于 07-03 18:16

    FPGA的IP使用技巧

    仿真,需要經(jīng)過綜合以及布局布線才能使用。 IP的優(yōu)點(diǎn)在于其靈活性高、可移植性強(qiáng),允許用戶自配置。然而,其缺點(diǎn)在于對模塊的預(yù)測性較低,在后續(xù)設(shè)計(jì)中存在發(fā)生錯誤的可能性,有一定的設(shè)計(jì)風(fēng)
    發(fā)表于 05-27 16:13