一区二区三区三上|欧美在线视频五区|国产午夜无码在线观看视频|亚洲国产裸体网站|无码成年人影视|亚洲AV亚洲AV|成人开心激情五月|欧美性爱内射视频|超碰人人干人人上|一区二区无码三区亚洲人区久久精品

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于Digilent的Arty Artix-35T FPGA開發(fā)板的DDR3讀寫控制

電子設(shè)計(jì) ? 來(lái)源:FPGA開源工作室 ? 作者:FPGA開源工作室 ? 2020-12-15 16:45 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

將通過(guò)五篇文章來(lái)給大家講解xilinx FPGA 使用mig IP對(duì)DDR3的讀寫控制,旨在讓大家更快的學(xué)習(xí)和應(yīng)用DDR3。

本實(shí)驗(yàn)和工程基于Digilent的Arty Artix-35T FPGA開發(fā)板完成。

軟件使用Vivado 2018.1。

參考工程:ddr3_test。

第五篇:mig讀寫時(shí)序下板實(shí)現(xiàn)

1頂層文件和約束文件

ddr3_test.v

參見參考工程:ddr3_test。

ddr3.xdc

1. set_property PACKAGE_PIN E3 [get_ports clk]

2. set_property IOSTANDARD LVCMOS33 [get_ports clk]

3. set_property PACKAGE_PIN D9 [get_ports reset]

4. set_property PACKAGE_PIN E1 [get_ports init_calib_complete]

5. set_property IOSTANDARD LVCMOS33 [get_ports init_calib_complete]

6. set_property IOSTANDARD LVCMOS33 [get_ports reset]

2 下板實(shí)現(xiàn)讀寫時(shí)序

1>①完成綜合和實(shí)現(xiàn)

pIYBAF9uEieAIrp1AAViErRYsfQ950.png

2>下載bit文件和debug文件。

pIYBAF9uEi2AZQc7AAYUKHUxaAM100.png

3>下載完成,查看波形。


pIYBAF9uEjeACWcEAAT3eiBQ_C0230.png

4>將app_wdf_data數(shù)據(jù)格式改為Unsigned Decimal。

pIYBAF9uEj2AEF0vAAVALKHmVFA645.png

5>查看寫時(shí)序。

o4YBAF9uEkOAcmgvAAWiaV7G-80079.png


o4YBAF9uEkeAXgZ5AATOX99VEUc718.png

6>查看讀時(shí)序。

pIYBAF9uEkyALeHtAASqvJJhyBc481.png


o4YBAF9uElCAKKe0AATsbTmGwJU921.png

基于xilinx mig ip對(duì)ddr3讀寫驗(yàn)證完成。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1645

    文章

    22050

    瀏覽量

    618583
  • DDR3
    +關(guān)注

    關(guān)注

    2

    文章

    284

    瀏覽量

    43167
收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評(píng)論

    相關(guān)推薦
    熱點(diǎn)推薦

    【RK3568+PG2L50H開發(fā)板實(shí)驗(yàn)例程】FPGA部分 | DDR3 讀寫實(shí)驗(yàn)例程

    : Window11 PDS2022.2-SP6.4 芯片型號(hào): PG2L50H-484 2.實(shí)驗(yàn)原理 開發(fā)板集成 1 顆 4Gbit(512MB)DDR3 芯片,型號(hào)為 MT41K256M16。DDR3
    發(fā)表于 07-10 10:46

    FPGA新品】正點(diǎn)原子L22開發(fā)板來(lái)了!采用紫光的Logos系列FPGA,適合工業(yè)控制、圖像處理、高速通信等領(lǐng)域!

    FPGA新品】正點(diǎn)原子L22開發(fā)板來(lái)了!采用紫光的Logos系列FPGA,適合工業(yè)控制、圖像處理、高速通信等領(lǐng)域! ATK-L22開發(fā)板
    發(fā)表于 04-21 17:28

    DDR3 SDRAM配置教程

    DDR3 SDRAM(Double-Data-Rate ThreeSynchronous Dynamic Random Access Memory)是DDR SDRAM的第三代產(chǎn)品,相較于DDR2,
    的頭像 發(fā)表于 04-10 09:42 ?3011次閱讀
    <b class='flag-5'>DDR3</b> SDRAM配置教程

    初次使用XC7A35T-FGG484做設(shè)計(jì),用的是25MHZ有源晶振,有源晶振3.3V供電,DDR3的供電1.35V,現(xiàn)在接上晶振后,DDR3的供電變成1.8V

    初次使用XC7A35T-FGG484做設(shè)計(jì),用的是25MHZ有源晶振,有源晶振3.3V供電,DDR3的供電1.35V,現(xiàn)在接上晶振后,DDR3的供電變成1.8V 求助怎么解決。
    發(fā)表于 03-21 14:25

    三大內(nèi)存原廠或?qū)⒂?025年停產(chǎn)DDR3/DDR4

    據(jù)報(bào)道,業(yè)內(nèi)人士透露,全球三大DRAM內(nèi)存制造商——三星電子、SK海力士和美光,有望在2025年內(nèi)正式停產(chǎn)已有多年歷史的DDR3DDR4兩代內(nèi)存。 隨著技術(shù)的不斷進(jìn)步和消費(fèi)級(jí)平臺(tái)的更新?lián)Q代
    的頭像 發(fā)表于 02-19 11:11 ?1702次閱讀

    【米爾-Xilinx XC7A100T FPGA開發(fā)板試用】測(cè)試一

    感謝米爾電子和電子發(fā)燒友提供的米爾-Xilinx XC7A100T FPGA開發(fā)板。 MYD-J7A100T用的 FPGA 為 XILINX
    發(fā)表于 12-08 08:48

    DDR3DDR4、DDR5的性能對(duì)比

    DDR3、DDR4、DDR5是計(jì)算機(jī)內(nèi)存類型的不同階段,分別代表第三代、第四代和第五代雙倍數(shù)據(jù)速率同步動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器(SDRAM)。以下是它們之間的性能對(duì)比: 一、速度與帶寬 DDR3
    的頭像 發(fā)表于 11-29 15:08 ?1w次閱讀

    如何選擇DDR內(nèi)存條 DDR3DDR4內(nèi)存區(qū)別

    隨著技術(shù)的不斷進(jìn)步,計(jì)算機(jī)內(nèi)存技術(shù)也在不斷發(fā)展。DDR(Double Data Rate)內(nèi)存條作為計(jì)算機(jī)的重要組成部分,其性能直接影響到電腦的運(yùn)行速度和穩(wěn)定性。DDR3DDR4是目前市場(chǎng)上最常
    的頭像 發(fā)表于 11-20 14:24 ?6148次閱讀

    正點(diǎn)原子fpga開發(fā)板不同型號(hào)

    正點(diǎn)原子作為國(guó)內(nèi)領(lǐng)先的FPGA開發(fā)板供應(yīng)商,其產(chǎn)品線覆蓋了從入門級(jí)到高端應(yīng)用的各個(gè)領(lǐng)域。這些開發(fā)板不僅適用于學(xué)術(shù)研究,還廣泛應(yīng)用于工業(yè)控制、通信、圖像處理等多個(gè)領(lǐng)域。 1. 入門級(jí)
    的頭像 發(fā)表于 11-13 09:30 ?3727次閱讀

    正點(diǎn)原子和野火開發(fā)板哪個(gè)好

    在嵌入式開發(fā)領(lǐng)域,FPGA開發(fā)板因其靈活性和可定制性而受到工程師的青睞。正點(diǎn)原子(ZYNQ)和野火(Yihui)是兩個(gè)知名的FPGA開發(fā)板
    的頭像 發(fā)表于 11-13 09:29 ?4564次閱讀

    【米爾-Xilinx XC7A100T FPGA開發(fā)板試用】+01.開箱(zmj)

    推出的MYC-J7A100T核心開發(fā)板是基于Xilinx Artix-7系列XC7A100T開發(fā)
    發(fā)表于 11-12 15:45

    盤古200 pro開發(fā)板

    盤古200K開發(fā)板采用核心+擴(kuò)展板的結(jié)構(gòu),核心主要由FPGA+2 顆DDR3+Flash+電源及復(fù)位構(gòu)成,承擔(dān)了
    發(fā)表于 10-28 17:42

    盤古50 pro開發(fā)板

    盤古50Pro開發(fā)板(紫光同創(chuàng)PG2L50H)采用核心+底板的結(jié)構(gòu),核心FPGA+2顆DDR3+Flash+電源及復(fù)位構(gòu)成,承擔(dān)
    發(fā)表于 10-28 17:38

    盤古50K開發(fā)板

    盤古50K開發(fā)板(紫光同創(chuàng)Logos系列PGL50H關(guān)鍵特性評(píng)估)采用核心+擴(kuò)展板的結(jié)構(gòu),并使用高速對(duì)連接器進(jìn)行連接。核心
    發(fā)表于 10-28 17:34

    盤古100 pro開發(fā)板

    盤古100 pro開發(fā)板采用核心+擴(kuò)展板的結(jié)構(gòu),核心主要由FPGA+2顆DDR3+Flash+電源及復(fù)位構(gòu)成,承擔(dān)了
    發(fā)表于 10-28 14:56